Open In App

Operating Systems | Memory Management | Question 1

Like Article
Like
Save
Share
Report

Which of the following page replacement algorithms suffers from Belady’s anomaly?

(A) FIFO
(B) LRU
(C) Optimal Page Replacement
(D) Both LRU and FIFO


Answer: (A)

Explanation: Belady’s anomaly proves that it is possible to have more page faults when increasing the number of page frames while using the First in First Out (FIFO) page replacement algorithm.

See the example given on Wiki Page.

Quiz of this Question


Last Updated : 03 Oct, 2019
Like Article
Save Article
Previous
Next
Share your thoughts in the comments
Similar Reads