Open In App

Difference between Verilog and SystemVerilog

Last Updated : 14 Sep, 2020
Improve
Improve
Like Article
Like
Save
Share
Report

1. Verilog :
Verilog is a Hardware Description Language (HDL). It is a computer language which is used to describe the structure and behavior of electronic circuits. In 1983 Verilog language started as a proprietary language for hardware modelling at Gateway Design Automation Inc and later it became IEEE standard 1364 in 1995 and started becoming more widely used. Verilog is based on module level testbench.

2. SystemVerilog :
SystemVerilog is a combination of both Hardware Description Language (HDL) and Hardware Verification Language (HVL) and combined termed as HDVL. Means it describe the structure and behavior of electronic circuits as well as it verifies the electronic circuits written in a Hardware Description Language. SystemVerilog acts as a superset of Verilog with a lot extensions to Verilog language in 2005 and became IEEE standard 1800 and again updated in 2012 as IEEE 1800-2012 standard. SystemVerilog is based on class level testbench which is more dynamic in nature.



Difference between Verilog and SystemVerilog :

S.No. VERILOG SYSTEMVERILOG
01. Verilog is a Hardware Description Language (HDL). SystemVerilog is a combination of both Hardware Description Language (HDL) and Hardware Verification Language (HVL).
02. Verilog language is used to structure and model electronic systems. SystemVerilog language is used to model, design, simulate, test and implement electronic system.
03. It supports structured paradigm. It supports structured and object oriented paradigm.
04. Verilog is based on module level testbench. SystemVerilog is based on class level testbench.
05. It is standardized as IEEE 1364. It is standardized as IEEE 1800-2012.
06. Verilog is influenced by C language and Fortran programming language. SystemVerilog is based on Verilog, VHDL and c++ programming language.
07. It has file extension .v or .vh It has file extension .sv or .svh
08. It supports Wire and Reg datatype. It supports various datatypes like enum, union, struct, string, class.
09. It is based on hierarchy of modules. It is based on classes.
10. It was began in 1983 as proprietary language for hardware modelling. It was originally intended as an extension to Verilog in the year 2005.


Like Article
Suggest improvement
Previous
Next
Share your thoughts in the comments

Similar Reads